最新消息
首頁 最新消息
半導體設備行業深度梳理 2023-01-24
文章来源:由「百度新聞」平台非商業用途取用"http://www.eepw.com.cn/zhuanlan/278478.html"

半導體設備是支撐電子行業發展的基石,也是半導體產業鏈上游環節市場空間最廣闊,戰略價值最重要的一環。從整體來看,中國大陸的半導體設備行業,同全球半導體設備行業一樣,享受著本土晶圓廠擴產,地方規劃重點扶持的政策福利。從國內市場而言,供應鏈結構合理化和地緣政治的需求,帶來了國內設備市場國產替代的動能。因此,國產設備商享有晶圓廠擴產+國產化提速的雙重增速。根據SEMI2022年7月中旬發布的報告預測,半導體制造設備全球總銷售額預計將在2022年再次突破記錄達到1175億美元,比2021的1025億美元增長14.7%,并預計在2023年增至1208億美元。全球半導體設備作為一個具有顯著的周期性特點的行業,將實現罕見的連續四年的快速增長。本輪的半導體設備周期在全球范圍內延續的時長超出預期。下面我們就從半導體設備產業鏈出發,從半導體設備發展現狀、驅動因素等方向進行分析,探尋其各個細分子行業在產業鏈中的占比及市場空間、相關公司等,力圖把握半導體設備行業未來發展空間與方向。半導體設備分類、發展現狀及驅動因素1.分類以產業鏈應用環節來劃分,半導體設備可分為前道工藝設備(晶圓制造)和后道工藝設備(封裝測試)兩個大類。其中后道工藝設備還可以細分為封裝設備和測試設備。設備中的前道設備占據了整個市場的80%-85%,其中光刻機,刻蝕機和薄膜設備是價值量最大的三大環節,各自所占的市場規模均達到了前道設備總量的20%以上。因此,全球半導體設備前十名廠商之中,有多家是平臺型企業,橫跨多個半導體工藝環節。2.發展現狀半導體產業鏈龐大復雜的特性,使得很難有某一家公司能夠在所有設備領域做到全覆蓋。來自全球各個國家的企業共享整個市場。從2021年的全球競爭格局來看,第一梯隊top5的收入規模均在百億規模左右或以上,排名前top10的公司營收體量也要在20億美元以上。對比國內設備龍頭北方華創2021年電子裝備業務(包含集成電路業務和泛半導體業務)約為79.5億元人民幣的營收,我國半導體裝備行業的營收規模距行業頭部廠商仍存在較大差距,替代空間巨大。按照2021財年半導體業務收入排名,全球前五大半導體設備廠商分別為應用材料242億美元營收,ASML約211億美元營收,東京電子171億美元營收,泛林半導體165億美元應收,柯磊82億美元營收。分地區來看,排名前十的廠商中有五家日本公司,四家美國公司,以及一家荷蘭公司。2021年全球營收排名前五的設備廠商均屬于前道設備的應用廠商,與前道設備占據80%以上的設備市場相匹配。同時,前五大廠商中有三家是平臺型(應用材料,泛林半導體,東京電子),橫跨刻蝕,薄膜,清洗,離子注入等多個領域,對比來看,國內許多公司也在橫向拓展業務領域以不斷突破天花板,向平臺型轉型。比如,中微公司從刻蝕及化合物半導體外延設備延展到集成電路薄膜設備;萬業企業從離子注入設備延展到其嘉芯半導體子公司,覆蓋除光刻機之外的幾乎全部前道大類;盛美上海從清洗,電鍍等業務逐步覆蓋,爐管,沉積及其他前道品類。3.驅動因素先進制程發展、工藝流程改進,半導體設備迎來新需求。(1)新能源,AIot推進成熟制程設備發展加速半導體設備行業波動性成長,產業鏈最下游電子應用終端發生新變化,產生新需求。半導體設備行業呈現波動性上漲的趨勢。近二十年間半導體設備的周期性正在減弱,行業成長趨勢加強。得益于各類電子終端的芯片需求,智能化,網聯化,AIOT的發展,行業規模連續四年出現大幅度的正增長。2022年仍將維持較高增速,這在半導體設備發展歷史上極為罕見。先進制程(5nm以下先進制程)的擴產和研發投入變得十分巨大,同時成熟制程的芯片需求量大大提升。根據ASML的財報顯示,Arf光刻機單價在6000萬歐元左右,EUV光刻機單價在1.5億歐元左右,而最新一代預告的3nm2nm世代光刻機預計的單價將在3億歐元以上,先進制成的研發和突破成本以指數曲線的形式上升。在先進制程未來2nm,1nm的發展方向愈發接近物理極限的同時,成熟制程經濟效益在不斷提高,車規MCU,超級結MOS,光伏IGBT等成熟制程芯片大量缺貨,交付期延長,使得行業重新審視成熟制程產線的經濟效益,臺積電也在2022年提出在未來三年將成熟制程擴產50%。我國半導體設備廠商精準卡位12英寸成熟制程所對應設備,覆蓋28nm14nm以上節點成熟制程領域并不斷完善。(2)從襯底到芯片:工藝流程決定設備使用需求量變化芯片產線的精細化,自動化程度高,芯片設備對于環境的要求高。半導體設備處于產業鏈最上游環節,中游的芯片代工晶圓廠采購芯片加工設備,將制備好的晶圓襯底進行多個步驟數百道上千道工藝的加工,配合相關設備,通過氧化沉積,光刻,刻蝕,沉積,離子注入,退火,電鍍,研磨等步驟完成前道加工,再交由封測廠進行封裝測試,出產芯片成品。芯片的制造在極其微觀的層面,90nm的晶體管大小與流行感冒病毒大小類似。在制程以納米級別來計量的芯片領域,生產加工流程在自動化高精密的產線上進行,對設備技術的要求極高。無論是設備的制造產線,還是晶圓廠的生產產線,所有芯片的生產加工均在無塵室中完成。任何外部的灰塵都會損壞晶圓,影響良率,因此對于環境和溫度的控制也有一定的要求。在代工廠中,晶圓襯底在自動化產線上在各個設備間傳送生產,歷經全部工藝流程大致所需2-3個月的時間,這其中不包括后道封裝所需要的時間。通常來說,晶圓廠中的設備90%的時間都在運行,剩余時間用于調整和維護。前道工藝步驟繁雜,工序繁多,是芯片出產過程中技術難度較大,資金投入最多的環節。在芯片代工廠中的芯片的工藝制備流程如下:氧化、勻膠、曝光、顯影、刻蝕、沉積、研磨、離子注入、退火。離子注入完成之后,繼續沉積二氧化硅層,然后重復涂膠,光刻,顯影,刻蝕等步驟進入另一個循環,用以挖出連接金屬層(導電層)的通孔,從而使互通互聯得以是現在晶圓中。實現這一功能的是使用物理氣相沉積的方式沉積金屬層。上述步驟在晶圓的生產制造中將重復數次,直到一個完成的集成電路被制作完成。最后,將制備好的晶圓進行減薄,切片,封裝,檢測。完成后到的工藝流程,至此,一顆完整的芯片制作完成。半導體設備產業鏈梳理及相關公司半導體設備主要由七大設備零部件構成:光刻設備、刻蝕設備、清洗設備、薄膜沉積設備、離子注入設備、機械拋光設備及封裝、測試設備。下面我們分別進行分析。1.光刻機:摩爾定律的續命****(1)圖形刻畫,光刻機必不可少光刻是將設計好的電路圖從掩膜版轉印到晶圓表面的光刻膠上,通過曝光、顯影將目標圖形印刻到特定材料上的技術,可以簡單理解為畫圖過程,是晶圓制造中最重要的技術。光刻工藝包括三個核心流程:涂膠、對準和曝光以及光刻膠顯影,整個過程涉及光刻機,涂膠顯影機、量測設備以及清洗設備等多種核心設備,其中價值量最大且技術壁壘最高的部分就是光刻機。(2)光刻機不斷迭代,滿足制程提升需求光刻機經過多年發展,已經演化出五代產品,由光源波長進行區分可以分為可見光(g-line),紫外光(i-line),深紫外光(KrF、ArF)以及極紫外(EUV)幾大類,從工作類型又可以分為接觸式、掃描式、步進式、浸沒式等方式。不同類型的光刻機主要是為了滿足日益提升的制程需求,當前最先進的3nm制程只能通過EUV光刻機才能實現。(3)復雜程度高,多廠商合作才能組成光刻機全世界沒有任何一家公司可以獨立制造光刻機,其生產技術要求極高,可以分為十一個主要部件,包含超過十萬個零件,涉及上下游多家供應商,具有極強的生態屬性。光刻機的主要部件有工件臺、激光源、光束矯正器、能量控制器、光束形狀設置、遮光器、能量探測器、掩模臺、物鏡、封閉框架與減震器。(4)三大海外廠商占據主導,EUV僅ASML一家獨供目前全球光刻機市場幾乎由ASML、尼康和佳能三家廠商壟斷,其中又以ASML一家獨大。由于光刻機需要超十萬個零部件,在各大晶圓廠不斷擴產的背景下,光刻機的交貨時間一再推遲,EUV光刻機的交期已經推遲到24個月以后。從銷量來看,2021年ASML占比65%,出貨量達到309臺,力壓尼康和佳能,其中EUVArFiArF高端光刻機占比分別為100%95.3%88%。從銷額來看,EUV光刻機單價超過1億歐元,最新一代0.55NA大數值孔徑EUV光刻機單價甚至超過4億歐元,全球僅有ASML可提供,使其占據市場絕對龍頭地位,2021年市場份額達到85.8%。(5)上海微電子重點突破,國產光刻機有望打破封鎖目前國內具備光刻機生產能力的企業主要是上海微電子裝備有限公司,主要致力于半導體裝備、泛半導體裝備、高端智能裝備的開發、設計、制造、銷售及技術服務。公司設備廣泛應用于集成電路前道、先進封裝、FPD面板、MEMS、LED、PowerDevices等制造領域。公司的光刻機產品有SSX600和SSB500兩個系列,其中SSX600系列主要應用于IC前道光刻工藝,可滿足IC前道制造90nm、110nm、280nm關鍵層和非關鍵層的光刻工藝需求;SSB500系列光刻機主要應用于IC后道先進封裝工藝。2.刻蝕機:微觀世界雕刻師(1)半導體制造核心工藝,刻蝕雕刻芯片大廈作為半導體制造過程中三大核心工藝之一,刻蝕可以簡單理解為用化學或物理化學方法有選擇地在硅片表面去除不需要的材料的過程,可以分為干法刻蝕和濕法刻蝕,目前市場主流的刻蝕方法均為干法刻蝕,可將其分為CCP刻蝕和ICP刻蝕。CCP刻蝕主要是以高能離子在較硬的介質材料上,刻蝕高深寬比的深孔、溝槽等微觀結構;而ICP刻蝕主要是以較低的離子能量和極均勻的離子濃度刻蝕較軟的或較薄的材料。(2)新技術路線步入量產,對刻蝕提出更高技術要求三星宣布將成為全球首家采用GAA工藝進行3nm制程的生產,相較于FinFET工藝,GAA被譽為突破3nm制程的有力手段。每一代芯片新技術的突破,晶體管體積都會不斷縮小,同時性能不斷提升。從平面MOSFET結構到FinFET晶體管架構,再到后面的GAA結構甚至MBCFET結構,晶體管的復雜度不斷提升,對刻蝕和薄膜沉積等核心技術提出了更高的要求(3)芯片線寬的縮小及多重模板工藝對刻蝕的精度和重復性提出更高要求隨著芯片制程的提升,受到光刻機波長的限制,往往需要采用多次曝光,才能得到要求的線寬,實現更小的尺寸。這對刻蝕速率、各向異性、刻蝕偏差、選擇比、深寬比、均勻性、殘留物、等離子體引起的敏感器件損傷、顆粒沾污等指標上對刻蝕設備都提出了更高的要求。我國因無法購買EUV光刻機而無法進行更先進制程的產線建設,如果想要用28nm產線生產14nm線寬的芯片,只能通過多次刻蝕才有可能實現,這使得對刻蝕的需求進一步提升。(4)海外廠商占據8成份額,國內廠商正迎難而上從全球范圍來看,刻蝕設備主要由美國泛林半導體、日本東京電子以及美國應用材料三家占據領先地位,2020年三家市場份額合計占比近9成。目前國內有中微公司和北方華創兩家刻蝕設備供應商,從營收端來看,2020年和2021年中微公司和北方華創刻蝕設備營收占國內總刻蝕市場規模的9.19%和10.48%左右,隨著公司的訂單逐步釋放,國產化率有望明顯提升。(5)中微公司是國內領先刻蝕設備廠商,持續創新,不斷推出新產品中微公司半導體刻蝕設備主要包含CCP刻蝕設備、ICP刻蝕設備以及深硅刻蝕設備,在邏輯、存儲等諸多領域具有廣泛應用。在邏輯芯片制造環節,公司開發的12英寸高端刻蝕設備已運用在國內外知名客戶65nm到5nm制程的芯片生產線上;同時,公司根據客戶需求,已開發出5nm及更先進刻蝕設備用于若干關鍵步驟的加工,并已獲得行業領先客戶的批量訂單。公司目前正在開發新一代刻蝕設備和包括大馬士革在內的刻蝕工藝,能夠涵蓋5nm以下更多刻蝕需求。在3DNAND芯片制造環節,公司的CCP刻蝕設備可應用于64層、128層及更高層數NAND的量產,并且正在開發新一代能夠涵蓋200層以上極高深寬比的刻蝕設備和工藝。此外,公司的ICP刻蝕設備已經在多個邏輯芯片和存儲芯片廠商的生產線上量產,正在進行下一代產品的技術研發,以滿足5nm以下的邏輯芯片、1X納米的DRAM芯片和200層以上的3DNAND芯片等產品的刻蝕需求。3.薄膜沉積設備:集成電路奠基者(1)薄膜沉積支撐集成電路,多種類型滿足不同需求薄膜沉積技術是以各類化學反應源在外加能量(包括熱、光、等離子體等)的驅動下激活,將由此形成的原子、離子、活性反應基團等在襯底表面進行吸附,并在適當的位置發生化學反應或聚結,漸漸形成幾納米至幾微米不等厚度的金屬、介質、或半導體材料薄膜。作為芯片襯底之上的微米或納米級薄膜,是構成了制作電路的功能材料層。隨著集成電路制造不斷向更先進工藝發展,單位面積集成的電路規模不斷擴大,芯片內部立體結構日趨復雜,所需要的薄膜層數越來越多,對絕緣介質薄膜、導電金屬薄膜的材料種類和性能參數不斷提出新的要求。薄膜設備的發展支撐了集成電路制造工藝向更小制程發展。(2)薄膜沉積設備市場增速穩,規模大隨著半導體行業整體景氣度的提升,全球半導體設備市場呈現快速增長態勢,拉動市場對薄膜沉積設備需求的增加。根據MaximizeMarketResearch數據統計,2017-2020年全球半導體薄膜沉積設備市場規模分別為125億美元、145億美元、155億美元和172億美元,2021年擴大至約190億美元,年復合增長率為11.04%。預計全球半導體薄膜沉積設備市場規模在2025年將從2021年的190億美元擴大至340億美元,保持年復合15.7%的增長速度。(3)下游應用多樣化促進各種薄膜沉積設備需求近年來,下游產業新技術、新產品快速發展,正迎來市場快速增長期。5G手機、新能源汽車、工業電子等包含的半導體產品數量較傳統產品大比例提高;人工智能、可穿戴設備和物聯網等新業態的出現,對于半導體產品產生了新需求。經過不斷發展,根據不同的應用演化出了PECVD、LPCVD、濺射PVD、ALD等不同的設備用于晶圓制造的不同工藝。其中,PECVD是薄膜設備中占比最高的設備類型,占整體薄膜沉積設備市場的33%;ALD設備目前占據薄膜沉積設備市場的11%;SACVD是新興的設備類型,屬于其他薄膜沉積設備類目下的產品,占比較小。(4)芯片工藝進步及結構復雜化提高薄膜設備需求在晶圓制造過程中,薄膜起到產生導電層或絕緣層、阻擋污染物和雜質滲透、提高吸光率、臨時阻擋刻蝕等重要作用。隨著集成電路的持續發展,晶圓制造工藝不斷走向精密化,芯片結構的復雜度也不斷提高,需要在更微小的線寬上制造。制造商要求制備的薄膜品種隨之增加,最終用戶對薄膜性能的要求也日益提高。這一趨勢對薄膜沉積設備產生了更高的技術要求,市場對于高性能薄膜設備的依賴逐漸增加。(5)產線升級,薄膜設備需求陡增隨著集成電路的持續發展,產線逐漸升級,晶圓廠對薄膜沉積設備數量和性能的需求將繼續隨之提升。越先進制程的產線所需的薄膜沉積設備數量越多。先進制程使得晶圓制造的復雜度和工序量都大大提升,為保證產能,產線上需要更多的設備。(6)精密結構要求性能更好的薄膜設備隨著當前存儲器性能瓶頸的出現,主流工藝方式不斷拓展,精密結構加工所需的設備性能要求不斷增加。在FLASH存儲芯片領域,隨著主流制造工藝由2DNAND發展為3DNAND結構,相關產線中薄膜設備支出占比由18%提升至26%,結構的復雜化導致對于薄膜沉積設備的需求量也逐步增加。(7)進入壁壘高,行業高度壟斷半導體設備屬于高新技術領域,相關廠商均在各自專業技術領域耕耘幾十年。從全球市場份額來看,薄膜沉積設備行業呈現出高度壟斷的競爭局面,行業基本由應用材料(AMAT)、先晶半導體(ASMI)、泛林半導體(Lam)、東京電子(TEL)等國際巨頭壟斷。2019年,ALD設備龍頭東京電子和先晶半導體分別占據了31%和29%的市場份額,剩下40%的份額由其他廠商占據;而應用材料則基本壟斷了PVD市場,占85%的比重,處于絕對龍頭地位;在CVD市場中,應用材料全球占比約為30%,連同泛林半導體的21%和TEL的19%,三大廠商占據了全球70%的市場份額。(8)CVD領域差異化應用,共同發力彌補行業短板CVD設備需求量大,設備種類較多。國內從事CVD設備開發銷售的公司主要有北方華創、中微公司和拓荊科技。北方華創主要研發PVD、LPCVD和APCVD設備,中微公司主要研發MOCVD設備,和拓荊科技的PECVD以及SACVD設備無直接競爭關系。各公司專注于不同細分領域,共同發展彌補國內企業在相關行業的短板。4.其他前道設備:占比不高但缺一不可除了光刻、薄膜沉積以及刻蝕三大核心工藝外,其他前道設備雖然占比不高,但同樣不可或缺。從芯片制造工藝來看,包括涂膠顯影設備、清洗設備、離子注入設備以及擴散設備。其中涂膠顯影設備與光刻機共同完成光刻工藝;清洗機與CMP共同完成芯片的各步驟的清洗與拋光;離子注入機和擴散爐則專注于摻雜工藝。(1)相關公司:(2)涂膠顯影設備涂膠顯影設備是光刻工藝中除光刻機外的另一核心設備。涂膠顯影設備是光刻工序中與光刻機配套使用的涂膠、烘烤及顯影設備,包括涂膠機、噴膠機和顯影機,在8英寸及以上晶圓的大型生產線上,此類設備一般都與光刻設備聯機作業,組成配套的圓片處理與光刻生產線,與光刻機配合完成精細的光刻工藝流程。作為光刻機的輸入(曝光前光刻膠涂覆)和輸出(曝光后圖形的顯影),涂膠顯影機的性能不僅直接影響到細微曝光圖案的形成,其顯影工藝的圖形質量和缺陷控制對后續諸多工藝(諸如蝕刻、離子注入等)中圖形轉移的結果也有著深刻的影響。日本廠商占據前道涂膠顯影機領先地位,國內芯源微重點突破。在光刻工序涂膠顯影設備領域,主要企業有日本東京電子(TEL)、日本迪恩士(DNS)、德國蘇斯微(SUSS)、臺灣億力鑫(ELS)、韓國CND等,國內前道涂膠顯影目前只有芯源微能提供相關產品。相對而言,芯源微技術水平整體弱于東京電子和迪恩士,產品的應用領域也不如競爭對手完整。盡管目前國產化率不高,但隨著國內自主產線的通線,有望進入設備快速驗證期,屆時有望快速提升產品競爭力,擴大市場份額。(3)清洗設備清洗是貫穿晶圓制造的重要工藝環節。清洗的主要目的是去除晶圓制造中各工藝步驟中可能存在的雜質,避免雜質影響芯片良率和芯片產品性能。目前,隨著芯片制造工藝先進程度的持續提升,對晶圓表面污染物的控制要求不斷提高,每一步光刻、刻蝕、沉積等重復性工序后,都需要一步清洗工序。清洗不僅應用于晶圓制造,在硅片制造和封裝測試過程中也必不可少。在全球清洗設備市場,日本DNS公司占據40%以上的市場份額,此外,TEL、LAM等也在行業占據了較高的市場份額,市場集中度較高。國內的清洗設備領域主要有盛美半導體、北方華創、芯源微、至純科技。其中,盛美半導體主要產品為集成電路領域的單片清洗設備和單片槽式組合清洗設備;北方華創收購美國半導體設備生產商AkrionSystemsLLC之后主要產品為單片及槽式清洗設備;芯源微產品主要應用于集成電路制造領域的單片式刷洗領域;至純科技具備生產8-12英寸高階單晶圓濕法清洗設備和槽式濕法清洗設備的相關技術。(4)CMP設備工藝限制催生CMP技術,CMP設備應運而生。在芯片制造制程和工藝演進到一定程度、摩爾定律因沒有合適的拋光工藝無法繼續推進。傳統的機械拋光和化學拋光去除速率均低至無法滿足先進芯片量產需求,因此結合了機械拋光和化學拋光各自長處的CMP技術應運而生,是目前唯一能兼顧表面全局和局部平坦化的拋光技術,在目前先進集成電路制造中被廣泛應用。對應的CMP設備也成為了半導體芯片制造過程中不可或缺的核心設備。CMP設備主要依托CMP技術的化學-機械動態耦合作用原理,通過化學腐蝕與機械研磨的協同配合作用,實現晶圓表面多余材料的高效去除與全局納米級平坦化;其涉及集成電路、機械、材料、物理、力學、化學、化工、電子、計算機、儀器、光學、控制、軟件工程等多學科的交叉,研發制造難度大。下游應用多樣化促進CMP設備需求。集成電路按制造工藝及應用領域主要分為邏輯芯片、3DNAND閃存芯片、DRAM內存芯片,上述三種芯片雖然在結構及制造工藝上有明顯的區別,但無論哪種芯片的制造,都要求每層制造表面必須保持納米級全局平坦化,以使下一層微電路結構的加工制造成為可能,因此在集成電路制造流程中CMP設備必不可缺且需要循環使用,通常每片芯片制造完成需經過幾十道拋光工藝,尤其是集成電路制造工藝在納米節點上的持續推進,將使CMP設備的平坦化應用機會及關鍵作用愈加凸顯。平坦化工藝助力芯片制造。CMP設備系依托CMP技術的化學-機械動態耦合作用原理,通過化學腐蝕與機械研磨的協同配合作用,實現晶圓表面多余材料的高效去除與全局納米級平坦化,在硅片制造、集成電路制造、封裝測試等領域具有重要應用。CMP設備在制造芯片過程中起到重要的作用,保證芯片每層之間足夠平坦,確保了芯片的整體性能和可靠性。在硅片制造領域,CMP設備及工藝實現平整潔凈的拋光片;在集成電路制造領域,芯片制造過程按照技術分工主要可分為薄膜淀積、CMP、光刻、刻蝕、離子注入等工藝環節,各工藝環節實施過程中均需要依靠特定類型的半導體專用設備;在先進封裝領域,CMP工藝會越來越多被引入并大量使用,其中硅通孔技術、扇出技術、2.5D轉接板、3DIC等將用到大量CMP工藝,這將成為CMP設備除IC制造領域外一個大的需求增長點。芯片復雜化,CMP步驟次數提升。隨著芯片制造技術發展,CMP工藝在集成電路生產流程中的應用次數逐步增加,以邏輯芯片為例,65nm制程芯片需經歷約14道CMP步驟,而7nm制程所需的CMP處理增加為30道;晶體管結構從平面型向3DFinFET轉變,新增10次CMP過程;存儲器由2D向3D轉換,新增5次CMP步驟。進入壁壘高,技術路徑延續性強。半導體設備屬于高新技術領域,相關廠商均在各自專業技術領域耕耘幾十年。全球CMP設備市場處于高度壟斷狀態,主要由美國應用材料和日本荏原兩家設備制造商占據,兩家制造商合計擁有全球CMP設備超過90%的市場份額,尤其在14nm以下最先進制程工藝的大生產線上所應用的CMP設備僅由兩家國際巨頭提供。根據SEMI統計,2019年美國應用材料和日本荏原機械市占率合計達95%,而其他廠商總份額僅5%。華海清科是目前國內唯一實現12英寸系列CMP設備量產銷售的半導體設備供應商,打破了國際廠商的壟斷,填補國內空白并實現進口替代。據其營收統計,2021年國內市場占有率已經達到25.8%,有望實現CMP設備的完全國產替代。(5)離子注入機精確可控性使得離子注入技術成為最重要的摻雜方法。隨著芯片特征尺寸的不斷減小和集成度增加,各種器件也在不斷縮小,由于晶體管性能受摻雜剖面的影響越來越大,離子注入作為唯一能夠精確控制摻雜的手段,且能夠重復控制摻雜的濃度和深度,使得現代晶圓片制造中幾乎所有摻雜工藝都從熱擴散轉而使用離子注入來實現。根據離子束電流和束流能量范圍可將離子注入機分為三大類。三類離子注入機分別是中低束流離子注入機、低能大束流離子注入機、高能離子注入機。另外還有用于注入氧的氧注入機,或者注入氫的氫離子注入機。離子注入機包含5個子系統:氣體系統、電機系統、真空系統、控制系統和射線系統。其中,射線系統為最重要的子系統。離子注入機約占半導體前道設備的2~3%,大束流離子注入機占比過半。從半導體前道設備規模來看,離子注入機約占2~3%,對應2021年全球市場規模約22億美元,國內市場規模6億美元。在三類主要離子注入機中,大束流離子注入機占比約60%,中束流離子注入機占比約20%,高能離子注入機占比約18%,可分別推算出2021年國內市場中三類離子注入機市場規模為3.61.21.08億美元。集成電路離子注入機的市場份額高度集中,國內凱世通完成0到1的突破。美國應用材料公司、Axcelis占據全球大部分市場份額,其中美國應用材料公司在離子注入機產品上的市占率達到70%,主要產品包括大束流離子注入機、中束流離子注入機、超高劑量的離子注入。美國Axcelis主要產品高能離子注入機市占率55%。除此以外,日本Nissin主要生產中束流離子注入機,在中束流離子注入機的市占率約為10%;日本SEN公司的產品包括高束流離子注入機、中束流離子注入機、高能量離子注入機,但在中國大陸地區的市占率相對較低。在國內市場,萬業企業旗下凱世通率先完成了國產離子注入機從0到1的突破,2022年上半年取得在手訂單超過11億元,并逐步向客戶批量交付低能離子注入機,邁入1到N的放量階段。5.測試設備:晶圓質量把關人(1)測試設備分類晶圓與芯片兩大檢測領域,三大設備協同作用。集成電路生產需要檢測工藝是否合格、版圖設計是否合理、產品是否可靠,而這些都需要用到專門的測試設備,以此提高芯片制造水平,保證芯片質量。測試設備主要有測試機、分選機和探針臺三大類設備,其中測試機用于檢測芯片功能和性能,對芯片施加輸入信號,采集輸出信號來判斷芯片在不同工作條件下功能和性能的有效性;而分選機和探針臺則是將芯片的引腳與測試機的功能模塊起來,進而實現批量自動化測試。在晶圓檢測中,探針臺將晶圓傳送至測試位置,芯片的Pad點通過探針、專用連接線與測試機連接,測試機通過IO信號,判斷芯片性能是夠是否達到規范設計要求。在芯片檢測中,分選機將被測芯片逐個自動傳送至測試工位,測試機對芯片進行性能檢測,最后分選機將被測芯片進行標記、分選、收料。(2)測試設備市場規模預計2022年全球半導體測試設備市場規模達到82億美元。根據華經產業研究院,2021年全球半導體測試設備市場規模為78億美元,同比增長30%,預計2022年測試設備增長5%,達到82億美元。對于細分的半導體測試設備,2021年全球測試機、分選機和探針機占半導體測試設備的比例分別為63.1%、17.4%和15.2%,市場規模約為49.2、13.6、11.9億美元。據此可以簡單估算,2022年測試機、分選機和探針機的全球市場規模分別約為51.7、14.3和12.5億美元。(3)數字測試機數字測試機相比于模擬測試機難度較高,SoC占據主要市場份額。根據測試對象的不同,測試機可以分為SoC、存儲、模擬和RF等,其中數字測試機主要包括SoC和存儲測試機。相比于模擬測試機,數字測試機的技術難度更高。從市場份額來看,SoC測試機占據60%份額,與存儲測試機共同占據全球80%市場份額。(4)測試機相關公司測試機領域國產份額較低,本土廠商逐步追趕。全球測試機行業被泰瑞達和愛德萬占據大部分市場份額,據華經情報網援引SEMI數據,2021年全球半導體測試機市場中泰瑞達、愛德萬和科休的市場份額占比分別為51%、33%、11%,合計市占率為95%,份額高度集中。在國內市場,競爭格局相對分散,國內廠商華峰測控和長川科技的市占率分別為8%和5%,正逐步追趕當中,長川科技數字測試機等產品已經實現有效突破。(5)分選機市場空間分選機市場國產替代空間較大,探針臺由日本企業壟斷。不同于測試機,全球分選機的競爭格局相對分散,2020年前五大分選機廠商分別為科休、Xcerra、愛德萬、臺灣鴻勁、長川科技,市占率分別為21%、16%、12%、8%、2%。其中大陸企業只有長川科技并且市占率僅為2%,未來國產替代的空間廣闊。而探針臺市場幾乎由日本東京電子和東京精密兩家占據,2020年兩家企業在全球范圍市占率分別為46%和42%,具有極高的進入壁壘。半導體設備零部件及相關公司1.市場空間半導體設備市場2022年增長15%。根據SEMI統計,全球半導體設備銷售規模從2010年395億美元增長到2021年的1026億美元,其中中國大陸市場296億美元。SEMI預計到2022年將進一步增長15%至1175億美元。零部件持續緊缺,設備以及零部件的交期均延長。半導體零部件的短缺限制了設備公司大規模擴產,產品交付期延長。從2021年下半年開始,國際龍頭AMAT、LamResearch、ASML等均在法說會上表示半導體零部件短缺是公司上游供應的關鍵問題,對向客戶及時交貨構成了挑戰,我們認為此次短缺同時也為零部件國產化加速提供了機遇。據ETNews二季度報道,半導體核心部件的交貨期為6個月以上,之前的交貨期通常僅為2-3個月,來自美國、日本和德國的零部件交貨時間顯著增加,主要短缺的產品有高級傳感器、精密溫度計、MCU和電力線通信(PLC)設備。由于半導體零部件的持續性短缺,部分相關零部件廠商京瓷、Edwards等均有擴產計劃,將有助于緩解半導體零部件短缺問題。ASML預測2023年半導體零部件的短缺將有所緩解。2021年大陸半導體前道設備廠商北方華創、中微公司、拓荊科技、華海清科、芯源微、盛美上海、中科飛測毛利率均值為42%,同時以上大陸半導體設備廠商直接材料費用占營業成本比例平均值為90%。結合起來測算,半導體零部件占半導體設備市場規模的比例估計在50%,而2021年前道晶圓制造設備規模約為875億美元,因此對應半導體零部件市場規模預計430億美元以上,中國大陸市場約為850億元人民幣。此外,設備零部件除了直接對設備廠的供應外,在晶圓廠方面,據芯謀研究口徑,2020年中國大陸晶圓線8英寸和12英寸前道設備零部件采購金額超過10億美元。因為晶圓廠設備零部件和材料一樣也具有耗材屬性,按照2020年中國大陸半導體材料占全球18%來估算,預計全球晶圓廠對前道設備零部件采購金額約為56億美元。2021年增長16%,預計全球市場約為65億美元,中國大陸市場約為85億元人民幣。結合設備廠及晶圓廠采購金額,我們保守測算全球半導體零部件市場規模預計接近500億美元,中國大陸市場超過900億元人民幣。(1)設備廠采購根據國產設備廠商披露的采購零部件類型比例,例如拓荊科技主要產品為干法設備,其機械類+機電一體類零部件占比分別達到41%,電氣類占比也較高達到27%;而華海清科的主業CMP設備為濕法設備沒有真空反應腔,沒有氣體反應的設備,零部件成本中機械零部件的占比往往較高,華海清科2021年采購額中,機械標準件+加工件占比高達67%。(2)晶圓廠采購晶圓廠采購結構方面,從芯謀研究統計數據來看,大陸晶圓廠采購零部件中金額占比較大的主要有石英件(Quartz)、射頻電源(RFGenerator)、各種泵(Pump)等,占比在10%及以上,此外還有各種閥門(Valve)、吸盤(Chuck)、反應腔噴淋頭(ShowerHead)、邊緣環(EdgeRing)等零部件。2.零部件國產化持續提升(1)零部件行業市場集中度低,美日歐公司為主因為半導體設備本身結構復雜,導致精密零部件制造工序繁瑣,品類管理難度大,不同零部件之間存在著一定的差異性和技術壁壘,因此行業內多數企業只專注于個別生產工藝,或專注于特定精密零部件產品,整體行業相對分散。根據VLSI的數據,2020年全球半導體零部件領軍供應商前十中,包括蔡司ZEISS(光學鏡頭),MKS儀器(MFC、射頻電源、真空產品),愛德華Edwards(真空泵),AdvancedEnergy(射頻電源),Horiba(MFC),VAT(真空閥件),Ichor(模塊化氣體輸送系統以及其他組件),UltraCleanTech(密封系統)等。龍頭廠商收入體量大多在幾億美元到十幾億美元的體量,2020年全球前十公司營收規模約為80億美元,CR10低于20%。對比海外龍頭,國產設備零部件中電子機械類產品的精度較低、材料加工工藝要求不達標。零部件中比較復雜的電子和機械產品,開發技術難度較大,精度要求高。例如RFgenerator直接關系到腔體中的等離子體濃度和均勻度,是Etch、PECVD等重要機臺最關鍵的零部件之一,而國產RFgenerator主要的技術問題在于電源電壓和頻率等參數尚不夠穩定,較AdvancedEnergy等國外企業有一定差距。此外,中國廠商強于機加工和成型,但往往無法解決材料和表面處理問題,因此發展受到基礎的制約。根據芯謀研究,國內晶圓制造廠商采購的設備零部件中國產化率超過10%的有Quartz成品、Showerhead、Edgering等少數幾類,其余的國產化程度都比較低,特別是Valve、Gauge、O-ring等幾乎完全依賴進口。目前我國半導體零部件產業尚處于起步期,核心零部件仍然依賴進口。根據芯謀研究,目前石英、噴淋頭、邊緣環等零部件國產化率達到10%以上,射頻發生器、MFC、機械臂等零部件的國產化率在1%-5%,而閥門、靜電吸盤、測量儀表等零部件的國產化率不足1%。(2)國內設備廠商的零部件國產化率進入加速提升階段隨著下游晶圓制造廠及設備廠商迎來高速發展期,且在外部環境不確定背景下各環節自主可控進程加速,零部件環節已在2021年開啟替代元年,我們判斷未來三年正是替代高峰期。在一些細分品類實現技術和客戶突破的優質廠商,訂單和業績有望加速釋放。國產設備廠商在快速推進供應鏈國產化。根據中微公司2022年報:公司主要刻蝕設備的國產化率快速提升,CCP刻蝕機零部件國產化比例達到61.5%,ICP達到59%,美國供應商占比約為9%和13%左右。根據華海清科招股書:公司進口原材料占原材料采購總額的比例約為50%左右,主要為標準化、非壟斷型的通用零部件,大部分為非半導體專用,產地分別為日本、德國和美國等,其中采購產地為美國的零部件占比約10%。根據屹唐股份招股書:公司預計將于2021年下半年完成干法去膠設備主要機型的關鍵本土備選零部件內部認證,2022年分階段實現國產零部件量產導入。同樣干法刻蝕設備備選供應商原材料覆蓋程度預計可達到較高比例。快速熱處理設備主要機型的相關原材料供應主要來源于德國,供應鏈本土化工作于2021年下半年正式啟動,預計于2023年之前完成。針對不同類型的零部件,技術難點各不相同,國產化率差異大。機械類零部件應用最廣,市場份額最大,目前主要產品技術已經實現突破和國產替代,先進制程相關難突破。機電一體類和氣液傳輸真空系統零部件同樣品類繁多,國內部分產品已實現技術突破,但產品穩定性和一致性與國外有差距。技術難度相對比較高的為電氣類、儀器儀表類、光學類零部件,國內企業的電氣類核心模塊(射頻電源等)少量應用于國內半導體設備廠商,主要應用于光伏、LED等泛半導體設備,國產化率低,高端產品尚未國產化;儀器儀表類對測量精度要求高,國內企業通過收購進入國際半導體設備廠商,自研產品少量用于國內設備廠商,國產化率低,高端產品尚未國產化;光學類零部件對光學性能要求極高,由于光刻設備國際市場高度壟斷,高端產品一家獨大,國內光刻設備尚在發展,相應配套光學零部件國產化率低。3.相關國產設備零部件廠商發展空間中國市場在半導體設備行業中的重要性逐步提升。全球半導體設備市場規模2005年到2007年的17年間市場規模復合增速6.9%,對比來看,中國地區17年來復合增速為20%,中國半導體設備行業過去數年一直維持著較高的成長性。周期性弱于全球。同時,中國市場的占比從2005年的4%提升到2021年的28.8%,17年間高速發展。近幾年,中國半導體設備市場規模擴大繼續提速,近五年行業規模復合增速高達35%。隨著下游晶圓廠訂單和驗證效率的提升,預計2022-2025將是半導體國產設備的放量期,高增速有望延續。內資晶圓廠擴產空間充足。中國市場占比的提升,除了內資晶圓廠的不斷擴產,還包括了外資和中國臺灣廠商的產能,8英寸的萬國半導體,海辰半導體,12英寸的SK海力士,臺積電南京,Intel,三星西安等等。內資+外資共通構筑國內市場,而內資晶圓廠的擴產訴求和國產替代訴求更加強烈。因此,對于本土產業鏈的國產替代層面來說,設備廠商面對的內資產能存在更大增量空間。*博客內容為網友個人發布,僅代表博主個人觀點,如有侵權請聯系工作人員刪除。

關鍵字標籤:www.wonglee.com.tw/
快遞貨運公司有最優秀的貨運及快遞人員,提供快速效率的運送服務
擁有各種運輸車輛,可以運送各式大小貨物
國內快遞費用清楚,提供各縣市區域運送費用查詢